首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
本文介绍了直接数字频率合成(DDS)的工作原理以及基于可编程片上系统(SOPC)实现DDS信号源。设计的DDS信号源以Cyclone器件为核心,用嵌入在FPGA中的N ios软核CPU作为控制来实现频率、相位和幅度的数字预制和步进,利用FPGA的RAM位放置正弦查找表,同时利用FPGA的逻辑单元实现相位累加等其它数字逻辑功能。实现了两路相位完全正交的DDS信号源。  相似文献   

2.
针对传统数字接收机数据采集模块因集成化程度高而造成资源浪费且缺乏灵活性的问题,依据PCI总线支持突发传输特性和FPGA可编程的灵活性,编写了PCI接口的驱动程序,设计并实现了基于FPGA可控制的高速数据采集卡.采用Ahera公司的可编程逻辑器件EP3C10F256C8N在SOPC Builder环境下完成PCI桥的快速开发,并利用WinDriver工具在Windows XP系统下实现设备的驱动程序开发,完成从模式数据突发传输和设备中断的功能.该数据采集卡开发周期短、开发成本低,尤其适用于用户的不同需求,具有较高的实用价值.  相似文献   

3.
介绍了用FPGA代替显示器控制器(CRTC),并用VHDL产生VGA时序信号的详细方法,从而完成了用FPGA实现数字语音系统中的VGA控制器的设计方案.这种设计方法已经应用于数字语音系统的显示部分.  相似文献   

4.
本文介绍了一种基于查找表(LUT)的直接数字频率合成器0)DS)的工作原理,并且给出了具体的设计方案和参数,利用FPGA芯片的特点,在Altera公司的cycloneⅢ系列的FPGA上实现了DDS的核心功能,最后以正弦波为例通过Modlesim和SignalTap软件对设计进行了仿真和调试,结暴表明了本设计的正确性和稳定性,可以满足一般性的工程设计要求。  相似文献   

5.
设计利用SOPC技术,在FPGA芯片上实现通用PCI接口控制和CAN总线控制逻辑设计,辅以FIFO实现CAN总线上各节点与工业控制计算机之间的通信。PCI接口控制和CAN总线控制逻辑集成到一片FPGA芯片内中,这样可以减少外围芯片的数量,提高信号可靠性并降低系统的整体成本。  相似文献   

6.
提出了一种高速全数字卫星信号模拟源的平台实现方案,该方案以在线可编程门阵列 (FPGA)和高速模数转换器(DAC)为平台设计核心,采用了DAC与FPGA高速接口设计、并行 编码调制设计、数字白噪声生成设计、速率分级设计、DSP接口设计等设计手段,实现了高 速编码和并行调制,完成了高速DAC全数字中频信号直接合成、实时宽带信道模拟、超宽带 数字高斯白噪声生成等技术的研究与工程实践。  相似文献   

7.
第一代的可编程逻辑器件(PLD)以1片器件代替5至10片TTL器件,最新一代可编程器件FPGA可以代替40至400片小规模集成电路。本文介绍四种可编程门阵列的基本结构和主要性能,并给出采用该类器件设计电路的编程技术。  相似文献   

8.
本文介绍了直接数字频率合成(DDS)芯片AD9852与可编程门阵列(FPGA)相结合采用数字方法实现中频线性相位调制(PM)及试验结果。  相似文献   

9.
介绍了一种基于FPGA的TFT—LCD数字显示控制器的实现方法,采用FPGA实现TFT—LCD显示时序的产生、显示图像处理、双显存的读写轮换控制等功能。该设计方式可以根据实际功能任务需求,对专用显示控制芯片的接口功能进行裁剪,定制显示控制功能,增强了系统的可靠性和设计的灵活性。将其应用于一款液晶显示控制单元(DCU),解决了工程实际问题。  相似文献   

10.
基于直接数字频率合成(DDS)原理、可编程片上系统(SoPC)技术和Avalon总线规范,结合软件编程技术,设计了一个通过PC软件可实时产生任意函数表达式波形和人工图形绘制波形的任意波形信号发生器。该信号发生器包括具有独特调制方法及多功能DDS IP核设计、用于通信控制接口的Nios II软件设计和函数波形产生与图形波形编辑的PC软件与界面设计。给出了4种设计波形与实际输出波形的测试验证结果。在该信号发生器运行时,通过PC端上位机软件可十分方便且实时地更新波形,并通过函数方式与图形方式相结合,真正实现任意波形信号的产生。  相似文献   

11.
现在,随着整个电子科教的不断进步,FPGA也不断得以发展,直至成为当今硬件设计的首选之一。利用FPGA设计的VGA图形控制器,可实现彩条、图像的显示,并可实现FPGA器件对显示器的单片控制。本文基于FPGA和VGA的概念和基本原理,分析了基于FPGA的VGA图形控制器设计思路和具体设计,最后探对了基于FPGA的VGA图形控制器的设计中需要注意的问题。  相似文献   

12.
现场可编程门阵列(FPGA)是一种可编程逻辑器件,它具有丰富的I/O口及内部资源,编程和修改极为方便,并且易于扩展和维护,简化电子电路的设计。本系统采用Altera公司的FLEX10K作为核心器件,结合VHDL程序,实现了对LED点阵显示字符的控制。  相似文献   

13.
本文介绍了一种用数字信号处理器(DSP)及现场可编程门阵列(FPGA)实现脉冲应答测距和指令数据接收双重功能的数字化终端。  相似文献   

14.
直接序列扩频技术无论在军事通信还是民用通信都有广泛的应用。介绍了一种基于FPGA大规模可编程芯片的数字直接序列扩频接收技术,着重介绍了该数字接收机模型、PN码捕获及PN码同步技术,并且给出了该数字接收机的实际测量结果。  相似文献   

15.
多功能数字钟设计   总被引:1,自引:0,他引:1  
石悦 《现代商贸工业》2012,24(1):110-112
Max+plusⅡ是Altera公司提供的FPGA/CPLD开发集成环境,Altera是世界上最大可编程逻辑器件的供应商之一。Max+plusⅡ界面友好,使用便捷,被誉为业界最易用易学的EDA软件。在Max+plusⅡ上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。本设计用Max+plusⅡ编写verilog语言模拟仿真多功能数字钟,并用FPGA测试通过。  相似文献   

16.
分析了Xilinx的Vertex Ⅱ Pro系列FPGA的配置流程和时序要求,以及Xitinx的在系统可编程PROM芯片XCF32P的内部结构和功能特点,介绍了在同一硬件平台下用XCP32P对FPGA不同的软件版本实现动态加载的设计方法及应用。  相似文献   

17.
介绍了一种基于FPGA的TFT-LCD数字显示控制器的实现方法,采用FPGA实现TFT-LCD显示时序的产生、显示图像处理、双显存的读写轮换控制等功能。该设计方式可以根据实际功能任务需求,对专用显示控制芯片的接口功能进行裁剪,定制显示控制功能,增强了系统的可靠性和设计的灵活性。将其应用于一款液晶显示控制单元(DCU),解决了工程实际问题。  相似文献   

18.
针对机载综合射频传感器系统高度综合化的实际需求,基于部分可重构技术提出了一种机载传感器功能波形重构设计方法,以实现在现场可编程门阵列(Field Programmable Gate Array,FPGA)芯片局部区域上时分复用机载功能波形。该方法引入一种便于功能波形移植部署的FPGA平台设计,并在此平台上完成机载功能波形在FPGA芯片局部区域的可重构具体设计。工程应用表明,该设计能够灵活有效复用可编程逻辑器件资源,提高了综合射频传感器系统的功能波形集成度,具有较好的实践意义。  相似文献   

19.
频移键控(FSK)信号在通信系统的广泛应用,使其解调技术也成为一种核心技术。本文介绍了一种全新的基于FPGA技术的非相干数字解调方式,并借助硬件描述语言VerilogHDL语言,使设计具备了很好的移植性、可编程性和一致性。  相似文献   

20.
介绍了一种基于FPGA的FFT算法的实现——以Altera公司的FLEX10K系列产品为硬件平台,用VHDL语言和电路图完成系统设计描述,用MAX plusⅡ软件进行编译、综合和下载,实现了6点实序列DFT算法,并给出了仿真测试的结果。在FPGA芯片上运行的FFT算法具有速度快且抗干扰能力强的硬件实现的优点,用VHDL语言实现的基于IP核FFT算法具有很好的可移植性,可以重复使用,大大提高了设计效率。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号