首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 312 毫秒
1.
提出了一种基于FPGA实现高速数字上变频(DUC)的方法。该方 法采用一种新的多相内插滤波器的高效实现结构,利用多相内插滤波器中各分支滤波器间系 数的特点,使多相内插滤波器消耗的乘法器数量减少一半;并采用一种并行结构的数控振荡 器(NCO),可产生高数据率的上变频本振信号。利用该方法为某雷达中频回波模拟器设计 了DUC模块,其输出数字中频信号的数据率可达1.2 Gsample/s,只消耗了少量资源,满足项 目需求。  相似文献   

2.
提出了一种高速全数字卫星信号模拟源的平台实现方案,该方案以在线可编程门阵列 (FPGA)和高速模数转换器(DAC)为平台设计核心,采用了DAC与FPGA高速接口设计、并行 编码调制设计、数字白噪声生成设计、速率分级设计、DSP接口设计等设计手段,实现了高 速编码和并行调制,完成了高速DAC全数字中频信号直接合成、实时宽带信道模拟、超宽带 数字高斯白噪声生成等技术的研究与工程实践。  相似文献   

3.
肖亮 《国际商务研究》2005,45(4):177-180
本文提出了一种基于FPGA的数字交换机的实现方案。方案中利用FPGA对PCM信号进行处理,在FPGA内实现了话路交换、控制接口、时钟信号与信号音产生等主要功能,大大简化了硬件电路,并且较之传统方案具有明显优越的可扩展性。  相似文献   

4.
研制了卫星通信中LXI总线收端模块,较好解决了卫星通信中小站分散、技术保障困 难的问题。下变频模块采用4次变频,将射频信号变为21.4 MHz与7.5 MHz中频信号 。数字化仪模块A/D采样,将中频模拟信号转换成中频数字信号。采用FPGA电路辅助的方式 实现IEEE1588协议,实现了30 ns同步。  相似文献   

5.
在现在的数字系统设计中,FPGA发挥着越来越重要的作用。首先分析了FPGA的内部结构特点,对FPGA设计技术进行了详细阐述,针对现代数字系统的特点,研究了FPGA的设计流程。其次,简要介绍了SOPC技术,SOPC是Altera公司提出的一种灵活、高效的片上系统设计方案。它将处理器、存储器、I/O口等系统设计需要的组件集成到一个PLD器件上,构建成一个可编程的片上系统。接下来,介绍了VGA的相关知识.VGA(视频图形阵列)作为一种标准的显示接口得到广泛的应用。笔者依据VGA显示的原理,"抛弃"VGA显示专用芯片,采用FPGA(现场可编程门阵列)设计VGA接口可以将要显示的数据直接送到显示器。最后,在Altera公司的开发软件QuartusⅡ中,利用VHDL语言,实现模块的设计,达到图像动态显示的目的。  相似文献   

6.
针对海事自动识别系统(Automatic Identification System,AIS)收发信机的信号 处理主要采用专用芯片实现的现状,提出了一种中频数字化的替代方案,采用FPGA 完成AIS中频收发信机的信号处理。重点研究了突发GMSK中频信 号的调制、差分解调、位同步和帧同步的设计方法及实现过程。测试结果表明,采用并行化 的数字信号处理,增强了设备的灵活性和可靠性,并提高了设备的性能。  相似文献   

7.
本文介绍了直接数字频率合成(DDS)芯片AD9852与可编程门阵列(FPGA)相结合采用数字方法实现中频线性相位调制(PM)及试验结果。  相似文献   

8.
现代数字信号处理从视频扩展到了中频甚至射频,针对要求信号处理的处理速度越来越高、传输速率越来越快等特点,给出了一款使用高性能FPGA、DAC以及经先进的PCB设计工具设计、仿真的高速信号处理模块,实现了对高速信号的实时接收和处理。  相似文献   

9.
本文主要介绍利用专用DSP芯片,用数字下变频的方法实现中频正交解调。实验结果表明,其正交性能完全可以满足高性能雷达信号处理技术的要求。  相似文献   

10.
异步信号的同步化处理在FPGA/ASIC设计中是个永恒的话题。对于FPGA/ASIC内部的异步信号的同步化处理所出现的问题,目前已经有一套非常成熟的处理机制来规避。但是对于FPGA/ASIC外部输入的异步脉冲信号,如何进行稳定可靠的同步化处理处理,目前还没有看到比较统一的处理方法。本文描述了一种FPGA/ASIC外部异步信号同步化处理的方法。根据这个处理方法,能够保证在各种情况下,准确稳定的对FPGA/ASIC外部输入的异步脉冲信号和内部异步脉冲信号进行同步化处理。  相似文献   

11.
针对软件无线电接收机对空中通信信号进行监测搜索的过程中频率分辨率与搜索速度 的矛盾,在分析中频信道化技术原理的基础上,设计了一种适用于频谱监测的信道化结构 ,通过Simulink建模仿真验证了此种结构可以无误差地还原输入信号频谱,根据实际项目需 要,利用Xilinx 集成设计工具Sysgen进行快速设计并最终在FPGA中实现了八信道的信道化 结构。该设计方法可以大大加快信道化接收机的硬件实现速度,具有一定的工程实际应用价值。  相似文献   

12.
提出了基于Matlab Web Server的神经网络远程故障诊断方法,使得网络客户端能通过Intranet访问远程Matlab Web Server从而对神经网络进行训练,训练完成后可以利用训练好的神经网络进行远程故障诊断。事实上利用相似的方法,利用Matlab的强大仿真与计算功能也可以加强网络的仿真与计算功能,具有很大的实用价值。  相似文献   

13.
针对研制USB相位解调系统中出现的除0问题和ROM容量限制问题,通过研究坐标旋 转数字计算(Coordinate Rotation Digital Computer,CORDIC)方法,实现了基于CORDIC 算法的反正切模块,并利用此模块设计了一种针对统一S频段(Unified S Band , USB)系统的相位解调器。仿真实验结果证明,这种相位解调器能够较好地解决上述问题 。另外,设计了一种通用型带通滤波器,用于USB基带信号中副载波和各测距音分量的分离滤波 。  相似文献   

14.
本文通过对欧洲数字视频地面广播调制方案的分析,结合计算机仿真和工程应用,深入研究了基于DVB-T标准、适用于编码正交频分复用(COFDM)系统的信道估计算法,Matlab仿真及FPGA电路实现表明该算法具有可靠性高、复杂度低及易于实现的良好性能。  相似文献   

15.
根据公开的关于Link16数据链的信息标准并结合对战术数据链的具体要求,设计 了一种JTIDS传输符号产生模块,该模块包含RS编码、交织、CCSK软扩频、CCSK码字加密等 若干子模块。首先介绍了Link16数据链传输符号的产生原理,对其各个子处理模块进行了设 计并提出了硬件实现方案,然后在Quartus II环境下选择Cyclone II系列的EP2C8Q208C8 FP GA芯片进行了JTIDS传输符号产生模块的系统级综合与仿真,并在该芯片的开发板上进行了 验证,硬件成品可用于JTIDS终端功能测试与评估等多种场合。  相似文献   

16.
为了解决广大偏远山区气象灾害预警信息接收的“盲区”和供电困难的问题,提出一 种基于Nios II和“北斗”一代的气象灾害预警信息接收终端设计方案。采用Altera公司Cyc lone系列的EP1C12Q240和一体化的“北斗”一代射频单收芯片RNC2410,有效降低了终端的 成本、体积与功耗。同时利用Nios II软核处理器,通过软件设计实现终端正确接收预警信 息和报警。该方法已成功运用于山区洪灾与泥石流频发地区预警信息的接收。  相似文献   

17.
针对紧凑型的宽带高速通信信号侦察测向应用需求,对相关干涉仪测向处理模块进行 合理的采样率设计和算法优化,利用FPGA运算能力强的特点,在有限的硬件资源中实现了 60 MHz带宽的准实时测向处理,对提高侦察跳频等低截获概率信号的效能有重要意义。  相似文献   

18.
使用VHDL硬件语言,在FPGA内部每个总线通道设计一个状态机, 各通道对应配置独立缓存,实现多通道HB6096航空总线数据收发。对制成品进行测试,结果 表明设计合理可靠,模块工作稳定。该设计方法立足于国产化思路,提高了硬件集成度及数 据处理效率,降低了成本,对国内同类航空总线接口国产化设计具有参考作用。  相似文献   

19.
介绍了用FPGA代替显示器控制器(CRTC),并用VHDL产生VGA时序信号的详细方法,从而完成了用FPGA实现数字语音系统中的VGA控制器的设计方案.这种设计方法已经应用于数字语音系统的显示部分.  相似文献   

20.
针对Hough圆变换、最小二乘法椭圆拟合瞳孔中心定位算法中运算量大的问题,提出一种基于椭圆外切矩形的快速瞳孔中心定位算法。该算法从人眼图像中通过阈值分割得到瞳孔区域,对瞳孔区域进行边缘像素点的提取,然后利用椭圆外切矩形模型定位出瞳孔中心。通过Matlab对中国科学院自动化研究所公开的虹膜数据库中756张人眼图像进行遍历实验验证,所提算法定位准确率为98.3%,平均用时0.192 s。在同等条件下,与Hough圆变换、最小二乘法椭圆拟合算法进行对比,在保证定位准确率的同时,所提算法平均用时更少。实验结果表明,所提算法能够快速准确地完成瞳孔的中心定位。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号