首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   5篇
  免费   0篇
计划管理   2篇
综合类   1篇
贸易经济   2篇
  2011年   1篇
  2010年   2篇
  1997年   1篇
  1996年   1篇
排序方式: 共有5条查询结果,搜索用时 218 毫秒
1
1.
介绍了在数字电路中设计时序电路来消除按键抖动,取得了很好的效果。根据此方法的原理可以运用在单片机等设计中。  相似文献   
2.
3.
建立含有时钟信号的触发器翻转方程,讨论了时钟信号的普遍描述,并在此基础上提出了同步和异步时序电路的统一设计和统一分析。  相似文献   
4.
左璐 《现代商贸工业》2010,22(16):382-382
时序逻辑电路(Sequential Logic Circuit)输出不仅取决于当前输入信号,而且取决于电路之前所处的状态。基本的时序电路单元有触发器(D、JK、T等触发器)、锁存器、计数器等。VHDL中,时序电路通过process(clk)和if clk’eventand clk=‘1’then边沿检测语句实现触发器风格的电路;具有非完分支的if、case语句形成锁存器电路。  相似文献   
5.
利用CPLD复杂可编程逻辑器件,结合VHDL硬件描述语言,以东芝公司生产的TCD132D型号线阵CCD为例,实现线阵CCD的驱动时序电路设计。通过在Modelsim SE平台下对驱动时序仿真,并进行实际测量,证明该设计方法的可行性。结果表明该设计方案实现了对CCD器件的时序驱动。  相似文献   
1
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号